Emulating CNN with Template Learning on FPGA


Kose E., Yalcin M. E.

European Conference on Circuit Theory and Design (ECCTD), Catania, İtalya, 4 - 06 Eylül 2017 identifier identifier

  • Yayın Türü: Bildiri / Tam Metin Bildiri
  • Cilt numarası:
  • Doi Numarası: 10.1109/ecctd.2017.8093280
  • Basıldığı Şehir: Catania
  • Basıldığı Ülke: İtalya
  • İstanbul Teknik Üniversitesi Adresli: Evet

Özet

A 2-D Cellular Neural Network structure with space invariant neural weights is widely used in image processing applications. Recent advances VLSI technology appears to be very promising to use discrete time CNNs for real time vision applications. In this paper, a system-on-chip implementation which consists of a new CNN emulator design and a processor which performs template learning algorithm is shown. SoC design is programmed to perform a sequential CNN operations on different input and state images with different templates. Furthermore, the presented SoC design allows that templates can be updated by a learning algoritm in run time. SoC design is realised on a target FPGA. Test results on FPGA and MATLAB are presented and compared with structural similarity map.